N
nbastar
Guest
čip: epm7128slc84-15
programska oprema: maxplus2
program: VHDLLIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENOTI golf00 IS
PORT (A1, A2, A3, A4, A5, A6, A7, A8, A9, A10, A11, A12, A13, A14, A15: IN std_ulogic;
A16, A17, A18, A19, A20, A21, A22, A23, A24, A25, A26, A27, A28, A29: IN std_ulogic;
A30, A31, A32, A33, A34, A35, A36, A37, A38, A39, A40, a41, A42, A43, A44, A45, A46, a47, a48: IN std_ulogic;
res, CP: IN std_ulogic;
q: OUT std_ulogic;
qa: OUT std_ulogic_vector (6 downto 0)
);
END golf00;
ARHITEKTURA RTL OF golf00 ISsignal temp1: std_ulogic_vector (9 downto 0);
signal temp2: std_ulogic_vector (9 downto 0);
signal temp3: std_ulogic_vector (9 downto 0);
signal temp4: std_ulogic_vector (9 downto 0);
signal temp5: std_ulogic_vector (9 downto 0);
signal temp6: std_ulogic_vector (9 downto 0);
signal temp7: std_ulogic_vector (9 downto 0);
signal temp8: std_ulogic_vector (3 downto 0);
signal temp9: std_ulogic_vector (3 downto 0);
signal temp10: std_ulogic_vector (2 downto 0);
signal y1, Y6: std_logic;
signal y2, Y5: std_logic;
signal Y3, Y4: std_logic;BEGIN
y1 <= a1 in a2 in a3, ter A4 in A5 in A6 in A7 in A8 in A9;
y2 <= A10 in A11 in A12 in A13 in A14 in A15 in A16 in A17 in A18 in A19;
Y4 <= A20 in A21 in A22 in A23 in A24 in A25 in A26 in A27 in A28 in A29;
Y5 <= A30 in A31 in A32 in A33 in A34 in A35 in A36 in A37 in A38 in A39;
Y6 <= a41 in A40 in A42 in A43 in A44 in A45 in A46 ter a47 in a48;
Y3 <= y1 in y2 in L4 in Y5 in Y6;TEMP1 <= a9 & a8 & A7 & a6 & a5 in a4 & a3 in a2 & a1 & "1";
TEMP2 <= A19 & A18 & A17 & A16 & A15 & A14 & A13 & A12 & A11 &a10;
TEMP3 <= A29 & A28 & A27 & A26 & A25 & A24 & A23 & A22 & A21 &a20;
TEMP4 <= A39 & A38 & A37 & A36 & A35 & A34 & A33 & A32 & A31 &a30;
TEMP6 <= '1 '& a48 in a47 & A46 & A45 & A44 & A43 & A42 & a41 &a40;
TEMP9 <= Y6 & Y4 & Y3 &y2;
PROCES (Y3)
BEGIN
if (Y3'EVENT IN Y3 = '0 ') then
TEMP5 <= temp1 in temp2 in temp3 in temp4 in temp6;
END IF;
END PROCESS;
qa (0) <= not (temp5 (1) in temp5 (3) in temp5 (5) in temp5 (7) in temp5 (9));
qa (1) <= ne (temp5 (2) in temp5 (3) in temp5 (6) in temp5 (7));
qa (2) <= ni (temp5 (4) in temp5 (5) in temp5 (6) in temp5 (7));
qa (3) <= ne (temp5 (8) in temp5 (9));
PROCES (Y3)
BEGIN
if (Y3'EVENT IN Y3 = '0 ') then
primer je temp9
KDAJ "0111" => TEMP10 <= "100"; - 40
KDAJ "1011" => TEMP10 <= "011"; - 30
KDAJ "1101" => TEMP10 <= "010"; - 20
KDAJ "1110" => TEMP10 <= "001"; - 10
KDAJ OSTALO => TEMP10 <= "000"; - 00
END CASE;
END IF;
END PROCESS;
qa (4) <= TEMP10 (0);
qa (5) <= TEMP10 (1);
qa (6) <= TEMP10 (2);
q <= Y3;
END RTL;output: to je narobe.
če jaz sprememba čip, kot flex6000 (epf6010atc100), je ok.
hočem uporabljati epm7128slc, zakaj ne?
Plese help me!
programska oprema: maxplus2
program: VHDLLIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENOTI golf00 IS
PORT (A1, A2, A3, A4, A5, A6, A7, A8, A9, A10, A11, A12, A13, A14, A15: IN std_ulogic;
A16, A17, A18, A19, A20, A21, A22, A23, A24, A25, A26, A27, A28, A29: IN std_ulogic;
A30, A31, A32, A33, A34, A35, A36, A37, A38, A39, A40, a41, A42, A43, A44, A45, A46, a47, a48: IN std_ulogic;
res, CP: IN std_ulogic;
q: OUT std_ulogic;
qa: OUT std_ulogic_vector (6 downto 0)
);
END golf00;
ARHITEKTURA RTL OF golf00 ISsignal temp1: std_ulogic_vector (9 downto 0);
signal temp2: std_ulogic_vector (9 downto 0);
signal temp3: std_ulogic_vector (9 downto 0);
signal temp4: std_ulogic_vector (9 downto 0);
signal temp5: std_ulogic_vector (9 downto 0);
signal temp6: std_ulogic_vector (9 downto 0);
signal temp7: std_ulogic_vector (9 downto 0);
signal temp8: std_ulogic_vector (3 downto 0);
signal temp9: std_ulogic_vector (3 downto 0);
signal temp10: std_ulogic_vector (2 downto 0);
signal y1, Y6: std_logic;
signal y2, Y5: std_logic;
signal Y3, Y4: std_logic;BEGIN
y1 <= a1 in a2 in a3, ter A4 in A5 in A6 in A7 in A8 in A9;
y2 <= A10 in A11 in A12 in A13 in A14 in A15 in A16 in A17 in A18 in A19;
Y4 <= A20 in A21 in A22 in A23 in A24 in A25 in A26 in A27 in A28 in A29;
Y5 <= A30 in A31 in A32 in A33 in A34 in A35 in A36 in A37 in A38 in A39;
Y6 <= a41 in A40 in A42 in A43 in A44 in A45 in A46 ter a47 in a48;
Y3 <= y1 in y2 in L4 in Y5 in Y6;TEMP1 <= a9 & a8 & A7 & a6 & a5 in a4 & a3 in a2 & a1 & "1";
TEMP2 <= A19 & A18 & A17 & A16 & A15 & A14 & A13 & A12 & A11 &a10;
TEMP3 <= A29 & A28 & A27 & A26 & A25 & A24 & A23 & A22 & A21 &a20;
TEMP4 <= A39 & A38 & A37 & A36 & A35 & A34 & A33 & A32 & A31 &a30;
TEMP6 <= '1 '& a48 in a47 & A46 & A45 & A44 & A43 & A42 & a41 &a40;
TEMP9 <= Y6 & Y4 & Y3 &y2;
PROCES (Y3)
BEGIN
if (Y3'EVENT IN Y3 = '0 ') then
TEMP5 <= temp1 in temp2 in temp3 in temp4 in temp6;
END IF;
END PROCESS;
qa (0) <= not (temp5 (1) in temp5 (3) in temp5 (5) in temp5 (7) in temp5 (9));
qa (1) <= ne (temp5 (2) in temp5 (3) in temp5 (6) in temp5 (7));
qa (2) <= ni (temp5 (4) in temp5 (5) in temp5 (6) in temp5 (7));
qa (3) <= ne (temp5 (8) in temp5 (9));
PROCES (Y3)
BEGIN
if (Y3'EVENT IN Y3 = '0 ') then
primer je temp9
KDAJ "0111" => TEMP10 <= "100"; - 40
KDAJ "1011" => TEMP10 <= "011"; - 30
KDAJ "1101" => TEMP10 <= "010"; - 20
KDAJ "1110" => TEMP10 <= "001"; - 10
KDAJ OSTALO => TEMP10 <= "000"; - 00
END CASE;
END IF;
END PROCESS;
qa (4) <= TEMP10 (0);
qa (5) <= TEMP10 (1);
qa (6) <= TEMP10 (2);
q <= Y3;
END RTL;output: to je narobe.
če jaz sprememba čip, kot flex6000 (epf6010atc100), je ok.
hočem uporabljati epm7128slc, zakaj ne?
Plese help me!