20M Clock iz 50M

A

aafaq

Guest
lahko vsak ustvari eno uro 20M od 50 M. Vendar je treba z ostrimi robovi brez gittering rabim zelo natančno eno (z uporabo Spartan 3e1600)
z zelo natančno pomeni, da jaz rabim ostrih robov in petdeset odstotkov delovnega cikla
in zerox 100 plz upload kodo, zdaj, če je mogoče
Last edited by aafaq na 27. februar 2008 9:46, edited 2-krat v skupno

 
Nisi pripomb na strojno opremo, ki jo uporabljate ... pa če je to xillinx
naprava z DCM na voljo v njej .... gre za DCM.Use pristanišče CLKDV
in ga delimo z vrednostjo, kot 2,5 .....
Če ne veste, kako se nanašajo na uporabo diklorometana
Spartan knjižnice v vašem Xilinx docs mape ...
Glede ..

 
Ok kvingle ima prav, vendar, če ne uporabljate Xilinx lahko uporabite delilnika z 2,5.Imam svojo kodo.Če potrebujete, da mi sporočite.

 
Hi aafaq, vaše besede "zelo natančen" so nejasna.Ali lahko pojasni svoje zahteve?

Gittering?Ali mislite Treperenje?Nemogoče je povsem odpraviti Treperenje.

 
to kodo:

library IEEE;
uporaba ieee.std_logic_1164.all;

Podjetje je divide2_5
pristanišča (
CLK: v std_logic;
reset: in std_logic;
div: od std_logic
);
end divide2_5;

arhitektura st of divide2_5 je
signal d, q, p: std_logic_vector (1 downto 0);
signal FB: std_logic;

začeti

proces (CLK, reset)
začeti
if (reset = '0 ') then
q (0) <= '0 ';
elsif (clk'event in CLK = '1 ') then
q (0) <= p (0);
end if;
koncu postopka;

proces (CLK, reset)
začeti
if (reset = '0 ') then
p (0) <= '0 ';
elsif (clk'event in CLK = '1 ') then
p (0) <= d (0);
end if;
koncu postopka;

proces (CLK, reset)
začeti
if (reset = '0 ') then
q (1) <= '0 ';
elsif (clk'event in CLK = '0 ') then
q (1) <= p (1);
end if;
koncu postopka;

proces (CLK, reset)
začeti
if (reset = '0 ') then
p (1) <= '0 ';
elsif (clk'event in CLK = '0 ') then
p (1) <= d (1);
end if;
koncu postopka;

fb <= NOT (q (0) ali q (1) ali p (1) ali P (0));
d (0) <= fb;
d (1) <= fb;
- div <= fb; - 20%
div <= p (0) ali p (1), - 40%

end st;če je koristno, ga pritisnite, prosim pomočnik mi!

 

Welcome to EDABoard.com

Sponsor

Back
Top